Repository logo
 

An integrated variation-aware mapping framework for FinFET based irregular 2D MPSoCs in the dark silicon era

dc.contributor.authorRajkrishna, Pramit, author
dc.contributor.authorPasricha, Sudeep, advisor
dc.contributor.authorJayasumana, Anura, committee member
dc.contributor.authorBurns, Patrick, committee member
dc.date.accessioned2016-07-13T14:50:14Z
dc.date.available2016-07-13T14:50:14Z
dc.date.issued2016
dc.descriptionZip file contains PowerPoint presentation.
dc.description.abstractIn the deep submicron era, process variations and dark silicon considerations have become prominent focus areas for early stage networks-on-chip (NoC) design synthesis. Additionally, FinFETs have been implemented as promising alternatives to bulk CMOS implementations for 22nm and below technology nodes to mitigate leakage power. While overall system power in a dark silicon paradigm is governed by a limitation on active cores and inter-core communication patterns, it has also become imperative to consider process variations in a holistic context for irregular 2D NoCs. Additionally, manufacturing defects induce link failures, with resultant irregularity in the NoC topology and rendering conventional minimal routing schemes for regular topologies inoperable. In this thesis, we propose a holistic process variation aware design time synthesis framework (HERMES) that performs computation and communication mapping while minimizing energy consumption and maximizing Power Performance Yield (PPY). The framework targets a 22nm FinFET based homogenous NoC implementation with design time link failures in the NoC fabric, a dark silicon based power constraint and system bandwidth constraints for performance guarantees, while preserving connectivity and deadlock freedom in the NoC fabric. Our experimental results show that HERMES performs 1.32x better in energy, 1.29x better in simulation execution time and 58.44% better in PPY statistics, over other state-of-the-art proposed mapping techniques for various SPLASH2 and PARSEC parallel benchmarks.
dc.format.mediumborn digital
dc.format.mediummasters theses
dc.format.mediumZIP
dc.format.mediumPPTX
dc.identifierRajkrishna_colostate_0053N_13448.pdf
dc.identifier.urihttp://hdl.handle.net/10217/173465
dc.languageEnglish
dc.language.isoeng
dc.publisherColorado State University. Libraries
dc.relation.ispartof2000-2019
dc.rightsCopyright and other restrictions may apply. User is responsible for compliance with all applicable laws. For information about copyright law, please see https://libguides.colostate.edu/copyright.
dc.subjectFinFETs
dc.subjectnetworks on chip
dc.subjectgenetic algorithm
dc.subjectdark silicon
dc.titleAn integrated variation-aware mapping framework for FinFET based irregular 2D MPSoCs in the dark silicon era
dc.typeText
dcterms.rights.dplaThis Item is protected by copyright and/or related rights (https://rightsstatements.org/vocab/InC/1.0/). You are free to use this Item in any way that is permitted by the copyright and related rights legislation that applies to your use. For other uses you need to obtain permission from the rights-holder(s).
thesis.degree.disciplineElectrical and Computer Engineering
thesis.degree.grantorColorado State University
thesis.degree.levelMasters
thesis.degree.nameMaster of Science (M.S.)

Files

Original bundle
Now showing 1 - 2 of 2
Loading...
Thumbnail Image
Name:
Rajkrishna_colostate_0053N_13448.pdf
Size:
1.49 MB
Format:
Adobe Portable Document Format
No Thumbnail Available
Name:
supplemental.zip
Size:
3.79 MB
Format:
Zip File
Description: