Repository logo

Statistics for An integrated variation-aware mapping framework for FinFET based irregular 2D MPSoCs in the dark silicon era

Total visits

views
An integrated variation-aware mapping framework for FinFET based irregular 2D MPSoCs in the dark silicon era 5

Total visits per month

views
November 2023 0
December 2023 0
January 2024 5
February 2024 0
March 2024 0
April 2024 0
May 2024 0

File Visits

views
Rajkrishna_colostate_0053N_13448.pdf 88
supplemental.zip 36